¿Huawei, Apple y otros gigantes entran en la disposición de la nueva línea principal de semiconductores aflorados? Zheshang Securities Co.Ltd(601878) :Chiplet aporta nuevas oportunidades de desarrollo

[Huawei, Apple y otros gigantes entran en la disposición de la nueva línea principal de semiconductores emerge? Zheshang Securities Co.Ltd(601878) :Chiplet trae nuevas oportunidades de desarrollo】 Zheshang Securities Co.Ltd(601878) dijo recientemente que el modelo Chiplet (grano del núcleo) es una de las direcciones del desarrollo del proceso de semiconductores bajo la desaceleración de la Ley de Moore. La solución logra un recodo en el camino hacia las iteraciones de procesos avanzados mediante el empaquetado avanzado de múltiples chips desnudos. En comparación con las soluciones tradicionales de SoC, el modelo Chiplet ofrece tres ventajas: flexibilidad de diseño, bajo coste y corto plazo de comercialización. En los últimos años, los fabricantes internacionales han lanzado activamente productos relacionados, como el Huawei Kunpeng 920, el Milan-X de AMD y el Apple M1 Ultra. Se espera que Chiplet también plantee mayores exigencias a los proveedores de envases/IP, lo que supondrá nuevas oportunidades de desarrollo.

El modelo de chiplet (grano central) es una de las direcciones de desarrollo del proceso de semiconductores bajo la ralentización de la Ley de Moore.La solución logra un recodo en el camino hacia las iteraciones de procesos avanzados mediante el empaquetado avanzado de múltiples chips desnudos. En comparación con las soluciones tradicionales de SoC, el modelo Chiplet tiene

Flexibilidad de diseño, bajo coste y corto plazo de comercializaciónTres ventajas. En los últimos años, los proveedores internacionales han lanzado activamente productos relacionados, como Huawei Kunpeng 920, Milan-X de AMD y Apple M1 Ultra.

También se espera que Chiplet plantee mayores exigencias a los proveedores de envases/IP, lo que supondrá nuevas oportunidades de desarrollo.Puntos destacados de la inversión▪Chiplet: Continuación de la Ley de Moore – ¡El camino hacia la sustitución de procesos avanzados!Con las iteraciones de procesos avanzados a 7nm, 5nm y 3nm, la Ley de Moore se está ralentizando gradualmente y el coste de desarrollo y la dificultad de los procesos avanzados están aumentando. El programa Chiplet es una importante solución alternativa al actual proceso avanzado, a través del programa Chiplet China puede ser capaz de compensar los actuales defectos de la tecnología del proceso avanzado de fabricación de chips, para la cadena de la industria china de semiconductores Aportando nuevas oportunidades.

■ Disposición gigante: ¡Perspectiva del caso Huawei/AMD/Apple!Los gigantes internacionales Huawei, AMD e Intel están desplegando de forma activa y agresiva Chiplet y lanzando productos relacionados. Huawei lanzó su procesador Kunpeng 920 de 7nm basado en la tecnología Chiplet en 2019, con una puntuación SPECint Benchmark de más de 930 a las frecuencias principales típicas, superando el punto de referencia de la industria en un 25%. AMD lanzó su chip de procesamiento de servidor de tercera generación basado en la tecnología de empaquetado 3D Chiplet de TSMC en marzo de este año. Apple lanzó su chip M1 Ultra utilizando el proceso puente CoWos-S de TSMC, con interconexión interna de dos troqueles M1 Max para dar un salto de rendimiento.

▪ Innovación industrial: Embalaje avanzado + multiplexación IP: ¡la clave de la cadena de suministro!Los proveedores internacionales Intel, TSMC, Samsung y muchas otras empresas han creado sus propios ecosistemas Chiplet para captar activamente el mercado de los envases avanzados Chiplet. Jcet Group Co.Ltd(600584) se unió a la alianza industrial UCIe en junio y lanzó el año pasado una gama completa de soluciones de encapsulado en abanico de muy alta densidad XDFOI. Tongfu Microelectronics Co.Ltd(002156) Trabajando estrechamente con AMD, ahora tenemos una capacidad de producción a gran escala para la tecnología de empaquetado avanzado Chiplet. La reutilización de IP en modo Chiplet ayuda a los proveedores de IP a transformarse en proveedores de Chiplet y a pasar al hardware.

▪ Empresas beneficiarias potencialesEmbalaje avanzado: Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Empresas de IP de diseño: Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) , etc.

Equipos de envasado y ensayo: Beijing Huafeng Test & Control Technology Co.Ltd(688200) 、 Hangzhou Chang Chuan Technology Co.Ltd(300604)Shenzhen Xinyichang Technology Co.Ltd(688383) 、 Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) etc.

Junta de carga: Shenzhen Fastprint Circuit Tech Co.Ltd(002436) etc.

■Consejos sobre el riesgoEl progreso de los envases avanzados es menor de lo esperado; se intensifican las sanciones en el sector tecnológico.

1. Chiplet: Continuación de la Ley de Moore: ¡el camino hacia la sustitución de procesos avanzados!1.1.Chiplet ayuda a doblar el proceso avanzadoEl modelo de chiplet (núcleo) es una de las direcciones del desarrollo de procesos de semiconductores bajo la ralentización de la Ley de Moore.En las últimas décadas, el proceso de fabricación de chips se ha desarrollado básicamente de acuerdo con la Ley de Moore, el número de transistores por unidad de superficie del chip se puede acomodar aproximadamente cada 18 meses se duplicó, el rendimiento del chip y el costo se han mejorado. Sin embargo, a medida que el proceso itera a 7nm, 5nm, 3nm y menos, el coste y la dificultad de desarrollar procesos avanzados ha aumentado, y la economía de desarrollar procesos avanzados se está cuestionando gradualmente. La arquitectura de los chips de la era posterior a la Ley de Moore, el SoC (System-on-Chip), sigue impulsando la Ley de Moore al integrar múltiples componentes responsables de diferentes tareas informáticas en un solo chip, utilizando un solo chip para lograr una funcionalidad completa y usando el mismo proceso para cada área funcional. Al utilizar una tecnología de envasado avanzada basada en la integración heterogénea, el chip puede prescindir de la tecnología de proceso avanzada para aumentar el rendimiento y, al mismo tiempo, reducir el coste y la duración del ciclo de producción mediante la expansión aritmética. En resumen, Chiplet es una solución de alto rendimiento, bajo coste y rápida salida al mercado que ensambla múltiples chips (como E/S, memoria y núcleos IP) en un único paquete.

Las soluciones de chiplet plantean mayores exigencias al proceso de envasado.Chiplet y SiP similares, se llevan a cabo entre la integración de los diferentes componentes y el embalaje, y Chiplet cada chip desnudo es independiente de los demás, el nivel de integración es mayor, no se integra en una sola oblea, Chiplet soluciones de envasado actuales incluyen principalmente paquete de 2,5D, paquete 3D, paquete MCM y otros tipos. soluciones de envasado de chiplet para lograr el chip desnudo entre el interconexión, sino también para garantizar la calidad de la transmisión de la señal entre las distintas partes.

Los gigantes internacionales crean la alianza industrial UCIe para promover los estándares de los protocolos de interconexión.Chiplet modelo necesita para lograr la interconexión de varios chips, la forma de definir el estándar de interconexión es una cuestión importante. 2020 Intel en los Estados Unidos después de unirse a la Alianza CHIPS, ha proporcionado libre AIB interfaz de bus de interconexión permitido para apoyar la construcción del ecosistema Chiplet, pero otros fabricantes debido a las preocupaciones acerca de la licencia de la interfaz requiere el uso de la propia tecnología de envasado avanzado de Intel EMIB, por lo que finalmente el La norma no está muy extendida. Intel, AMD, Arm, Qualcomm, Samsung, TSMC, Sun and Moon, Google Cloud, Meta, Microsoft y otros grandes fabricantes en marzo de 2022 alianza de la industria UCIe, destinada a establecer un estándar de interconexión troquel a troquel unificado, que facilitara el desarrollo de aplicaciones en modo Chiplet. Tras el peinado, creemos que la alianza UCIe establecida por los gigantes internacionales desempeñará un papel importante en la promoción de la unificación de los estándares de interconexión del Chiplet, y se acelerará el desarrollo de las soluciones del Chiplet.

1.2. flexibilidad + bajo coste para dar lugar a la demanda de Chiplets

En comparación con las soluciones tradicionales de SoC, el modelo Chiplet presenta tres ventajas: flexibilidad de diseño, bajo coste y corto ciclo de comercialización, lo que convierte a esta solución en una importante dirección de desarrollo para los procesos de semiconductores.El modo Chiplet permite la libre selección de nodos de proceso para diferentes particiones.Los chips SoC tradicionales deben elegir el mismo nodo de proceso en la fabricación, sin embargo, los diferentes chips tienen diferentes requisitos de proceso. Tales como los chips lógicos, los chips analógicos, los chips de RF, la memoria y otros nodos de proceso a menudo maduros son diferentes, los chips analógicos si el uso de procesos avanzados puede conducir a fugas, ruido y otros problemas, los chips SoC uso unificado del mismo proceso causará algunos problemas. El modelo Chiplet es libre de elegir un proceso de chip desnudo diferente, y luego a través del embalaje avanzado para ensamblar, en comparación con SoC es más flexible, las ventajas son evidentes.

El modo chiplet es bueno para mejorar el rendimiento y reducir los costes de fabricación.La arquitectura tradicional de SoC aumentará el área de un solo chip, lo que aumentará la dificultad del proceso de fabricación del chip, la pérdida de rendimiento provocada por la densidad de defectos aumentará, lo que conducirá al aumento del coste de fabricación del chip SoC. La solución Chiplet, en cambio, divide el gran chip en múltiples chips desnudos con una superficie unitaria menor, lo que mejorará la tasa de rendimiento en términos relativos y reducirá así su coste de fabricación.

El modelo Chiplet permite reutilizar los productos y acortar el ciclo de comercialización.El modo Chiplet permite la iteración selectiva de diferentes unidades del chip, e itera sobre algunos chips desnudos para producir la siguiente generación de productos, acortando significativamente el ciclo de comercialización.

Actualmente, el modelo Chiplet presenta problemas temporales, como los elevados requisitos de la tecnología de envasado avanzada y la escasa capacidad de disipación del calor.La realización de cada chip desnudo entre la apertura, el chapado requiere operaciones de precisión; para garantizar que cada chip desnudo entre los datos para lograr la transmisión de alta velocidad, de alta calidad; en relación con el proceso avanzado Chiplet modo pobre capacidad de disipación de calor, estos aumentos son a la fabricación de chips de presentar un nuevo desafío técnico.

2. diseño gigante: perspectiva del caso Huawei/AMD/Apple2.1. Huawei: primero en lanzar la solución de servidor en nube Chiplet de 7nmHuawei lanza el procesador Kunpeng 920 de 7nm basado en la tecnología ChipletHuawei lanzó el Kunpeng 920 es el procesador basado en ARM líder en la industria, de acuerdo con las noticias del sitio web oficial de la compañía el procesador utilizando el proceso de fabricación de 7nm, basado en la licencia de arquitectura ARM, diseñado y completado por Huawei, a través de la optimización del algoritmo de predicción de rama, mejorar el número de unidades de computación, mejorar la arquitectura del subsistema de memoria y una serie de diseño de micro-arquitectura, mejorar significativamente el rendimiento del procesador. A una frecuencia principal típica, la puntuación de SPECint Benchmark supera los 930 puntos, superando la referencia del sector en un 25%. Al mismo tiempo, el coeficiente de eficiencia energética es mejor que la referencia del sector en un 30%. El Kunpeng 920 ofrece un mayor rendimiento para los centros de datos con un menor consumo de energía. El procesador crea un subsistema de caché coherente para integrar varios núcleos en un único chip pequeño, mientras que se han desarrollado pequeños bloques de E/S paralelos dedicados para permitir una conectividad de gran ancho de banda entre chips para soluciones de paquetes bidimensionales.

2.2. AMD: se asocia con TSMC para lanzar la solución 3D ChipletAMD se une a TSMC para lanzar productos 3D Chiplet.En junio de 2021, AMD lanzó su V-Cache 3D basado en la tecnología 3D Chiplet, que utiliza la tecnología de empaquetado avanzado 3D Fabric de TSMC para empaquetar Chiplets que contienen 64MB de L3 Cache en una pila 3D con el procesador. En marzo de 2022, AMD lanzó el procesador Milan-X Skyline. El procesador es una actualización del procesador de tercera generación milan, el EPYC 7003, que alcanza los 768 MB de caché L3 gracias a la tecnología de apilamiento 3D V-Cache de AMD. milan-X es un MCM que contiene nueve pequeños chips, incluidos ocho troqueles CCD y un gran troquel de E/S.

Imagen

2.3. Apple: las interconexiones dobles M1 Max crean una solución de alto rendimientoApple da un salto de rendimiento con el chip M1 Ultra que utiliza el proceso puente CoWos-S de TSMC El chip M1 Ultra de Apple, lanzado en marzo de 2022, cuenta con una arquitectura de chip UltraFusion única que permite un salto de rendimiento a través de la interconexión interna de dos troqueles M1 Max gracias a la tecnología CoWos-S de TSMC.El M1 Ultra tiene más de siete veces el número de transistores del M1 bajo la nueva arquitectura, mientras que el ancho de banda de interconexión entre los dos Max es hasta 2,5TB/s. La M1 Ultra tiene 128 GB de memoria integrada internamente y contiene ocho componentes de memoria en una pila de 16 capas de HBM (High Bandwidth Memory) con una tasa de transferencia del núcleo de 3200M y un ancho de banda de transferencia real de más de 800GB/s. Este producto consigue otro gran salto para los chips de Apple y la serie de ordenadores Mac y es un hito.

3. innovación en la industria: embalaje avanzado + multiplexación IP: ¡la clave de la cadena de suministro!3.1. Los envases avanzados mejoran la flexibilidad del diseñoLas soluciones de embalaje actuales de Chiplet incluyen principalmente el paquete 2.5D, el paquete 3D, el paquete MCM y otros tipos.El paquete 2.5D será de múltiples chips uno al lado del otro en la capa intermedia (Interposer), a través de la conexión Micro Bump (Micro Bump), para que el alambre de metal interno para conectar las señales electrónicas entre los chips, y luego a través de la perforación de silicio (TSV) para conectar la protuberancia de metal inferior (Solder Bump), y luego a través de la placa de soporte de alambre para conectar la bola de metal externo, para lograr una conexión estrecha entre los componentes. La tecnología MCM consiste en el ensamblaje de múltiples chips desnudos LSI/VLSI/ASIC y otros componentes en el mismo sustrato de interconexión multicapa, para luego empaquetarlos.

Los fabricantes internacionales están preparando activamente paquetes de Chiplets.En la actualidad, Intel, TSMC, Samsung y muchas otras empresas han creado su propio ecosistema de Chiplet y están aprovechando activamente el mercado de envasado avanzado de Chiplet.

Intel lanzó Foveros, una tecnología de integración de sistemas heterogéneos apilados en 3D, y EMIB, una tecnología de puente de interconexión multichip integrada.La tecnología de encapsulado utiliza una pila 3D para permitir la integración lógica a lógica, lo que proporciona a los diseñadores una gran flexibilidad para mezclar y combinar el uso de bloques IP de tecnología con diversos elementos de memoria y entrada/salida en los nuevos factores de forma de los dispositivos. La tecnología EMIB combina sustratos orgánicos y de silicio con sustratos de silicio embebidos para interconexiones de alta densidad, manteniendo la densidad de interconexión y el rendimiento a través de dicha arquitectura, además de los costes de fabricación pueden reducirse.

TSMC presenta 3D Fabric, equipado con 3D Silicon Stacking y tecnologías de embalaje avanzadas como CoWoS e InFO.La familia de tecnologías 3DFabric de TSMC incluye tecnologías de interconexión frontales y posteriores en 2D y 3D. La tecnología frontal, TSMC-SoIC, utiliza la precisión de fabricación de silicio más avanzada y los métodos necesarios para el apilamiento de silicio en 3D, incluidas las tecnologías de apilamiento de chips sobre obleas (CoW) y obleas sobre obleas (WoW) que permiten que las pilas 3D de chips similares y diferentes proporcionen una variedad de funciones, incluido el aumento de los núcleos informáticos en potencia de cálculo mediante el aumento del número de núcleos de cálculo, la memoria apilada para proporcionar más memoria y un mayor ancho de banda, y la mejora de la transferencia de energía mediante condensadores de zanja profunda. TSMC también cuenta con varias fábricas propias que pueden ensamblar y probar los chips de silicio, incluidos los apilados en 3D, y procesarlos en dispositivos empaquetados. Los procesos back-end 3D Fabric de TSMC incluyen las familias de tecnologías de envasado CoWoS e InFO.

Las empresas chinas Tongfu Microelectronics Co.Ltd(002156) y Jcet Group Co.Ltd(600584) están desarrollando activamente la tecnología de envasado de Chiplets. Jcet Group Co.Ltd(600584) se unió a la alianza industrial UCIe en junio para participar en la promoción de la estandarización de la especificación de la interfaz Chiplet, según las preguntas de los inversores, la empresa lanzó el año pasado una gama completa de soluciones de empaquetado en abanico de muy alta densidad XDFOI, la tecnología es un empaquetado en abanico de muy alta densidad orientado a Chiplet, soluciones de integración heterogénea de alta densidad, incluyendo 2D/2.5D/3D Chiplet, que ofrece a los clientes una solución única, desde una densidad normal hasta una muy alta, y desde un tamaño muy pequeño hasta uno muy grande. Tongfu Microelectronics Co.Ltd(002156) Trabajar estrechamente con AMD, es una importante fundición de empaquetado de AMD, en Chiplet, WLP, SiP, Fanout, 2,5D, apilamiento 3D y otros aspectos de la disposición y las reservas, ahora tiene Chiplet tecnología de empaquetado avanzada capacidad de producción a gran escala.

El empaquetado de chips impulsa el crecimiento de la demanda de probadores de chips.Según la investigación de la empresa, en comparación con el empaquetado de SoC, la producción de chips de arquitectura Chiplet requiere múltiples chips desnudos, el fallo de un solo chip desnudo llevará al fallo de todo el chip, lo que requiere que las empresas de empaquetado y pruebas realicen un mayor número de pruebas para reducir la pérdida de chips fallidos. En la actualidad Beijing Huafeng Test & Control Technology Co.Ltd(688200) y Hangzhou Chang Chuan Technology Co.Ltd(300604) se colocan en términos de probadores y se espera que se beneficien del crecimiento de la demanda de probadores provocado por el envasado de Chiplets.

3.2. Multiplexación de IP para mejorar la economía del diseñoEl desarrollo del chiplet es propicio para la realización del “chip IP”. El chiplet consiste en diferentes funciones del chip desnudo, al mismo tiempo el chip desnudo es en realidad IP de semiconductores a través del diseño y la optimización del proceso después de la producción de productos de hardware, en un sentido el chiplet también puede ser visto como Los proveedores de IP tienen la posibilidad de transformarse de proveedores de IP a proveedores de productos Chiplet, aumentando así el valor añadido de la empresa en la cadena industrial. Con el modelo Chiplet, las empresas de diseño pueden comprar hardware de diferentes empresas y luego combinarlo mediante un empaquetado avanzado, en el que se espera que las empresas de PI hagan la transición a los proveedores de hardware.

Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) Como el mayor proveedor de IP de semiconductores de China se espera que se beneficie del desarrollo de Chiplet.La empresa ocupa el primer lugar en la península, entre los siete principales proveedores de IP de semiconductores del mundo, es una de las primeras empresas de la península en unirse a la Alianza UCIe, tiene una gran cantidad de núcleos de IP de procesadores, así como capacidades de diseño de chips líderes. La empresa está actualmente comprometida con la industrialización de Chiplet a través del “chip IP” y la “plataforma de chip”, y las principales casas de empaquetado y pruebas mundiales, los fabricantes de chips han establecido una relación de cooperación, en el lanzamiento del negocio de Chiplet tiene la ventaja. La compañía planea 2022 a 2023, seguir promoviendo la plataforma de procesador de aplicaciones de gama alta Chiplet programa de investigación iterativa y el trabajo de desarrollo, para promover Chiplet en las computadoras de la tableta, la conducción autónoma, centros de datos y otras áreas del proceso de aterrizaje de la industrialización, núcleo original puede ser el primero del mundo para los clientes para lanzar Chiplet productos comerciales de la empresa.

4. Aprovechar el tema: centrarse en los envases/equipos/vínculos IP y cambios en la cadena de suministro.Empaquetado avanzado: China tiene actualmente una importante brecha con los fabricantes internacionales en cuanto a tecnología de procesos avanzados, las soluciones Chiplet ofrecen una oportunidad para que la industria china de fabricación de chips se doblegue y supere. Los fabricantes de chips chinos pueden compensar las desventajas del retraso de la cadena de la industria de procesos avanzados de China adoptando soluciones de Chiplet para mejorar el rendimiento de los chips mediante un embalaje avanzado. Se espera que las empresas chinas del sector del envasado avanzado se beneficien del desarrollo de las soluciones Chiplet, beneficiando a empresas como Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Empresas de IP: las soluciones de chiplet reducen el coste y el umbral del diseño de chips, y la multiplexación de IP aumenta la flexibilidad del diseño. Se espera que las empresas de PI se transformen de proveedores de PI a proveedores de Chiplets y aumenten el valor aportado en la cadena de la industria, beneficiando a empresas como Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) .

Equipos de envasado y pruebas: La clave de la implantación de las soluciones Chiplet radica en la aplicación de la tecnología avanzada de envasado, lo que eleva los requisitos y la demanda de equipos de envasado. Si el Chiplet diseña un gran número de chips desnudos, el proceso de empaquetado requiere probar un gran número de chips para garantizar el rendimiento del chip final. Se espera que las empresas chinas de equipos de envasado y pruebas se beneficien, beneficiando a empresas como Beijing Huafeng Test & Control Technology Co.Ltd(688200) , Hangzhou Chang Chuan Technology Co.Ltd(300604) , Shenzhen Xinyichang Technology Co.Ltd(688383) , Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) , etc.

Tablero de embalaje: La solución de chiplet utilizará el paquete 2.5D, el paquete 3D, el paquete MCM y otras formas de embalaje avanzado para el chip, este método de embalaje aumentará el número de capas de ABF, tablero de soporte de PCB, el número específico de capas y los requisitos de índice técnico dependen del esquema de diseño del chip. Se espera que los fabricantes chinos de ABF, placas portadoras de PCB se beneficien del desarrollo del programa Chiplet, entre las empresas beneficiarias se encuentran Shenzhen Fastprint Circuit Tech Co.Ltd(002436) , etc.

Artículos relacionados

¿Semiconductor “Retorno del Rey”? Un fuerte repunte abre estos valores por parte de los gestores de fondos estrella para aumentar posiciones Surge una nueva línea principal

Está surgiendo una nueva línea principal de semiconductores Esta tecnología se ha convertido en una nueva arma mágica para continuar la Ley de Moore Las empresas cotizadas han aumentado la disposición

Se espera que los fabricantes chinos aumenten rápidamente su cuota de mercado. El número de equipos de semiconductores que ganan las licitaciones de núcleo alto peina

Citic Securities Company Limited(600030) :Más allá de la Ley de Moore El envasado avanzado tiene un gran potencial

- Advertisment -